Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by clupus

  1. C

    Differential Signals in Cadence Allegro

    I will ask and look what is possible. Thanks so far. OK, I created the match group and activated the constraints. Now my question is: How to modify the length of the signals such that they match? Is there a function to create these meander like lines or something similar? Or will I have to it...
  2. C

    Differential Signals in Cadence Allegro

    Yes, by changing the editor to Allegro Performance changed the menus such that I found the diffenerential pair entries! I think you mean revision, right? I would like to use newer revision, but I am not allowed to do so. I am working a a institute of a university and there exists a large...
  3. C

    Differential Signals in Cadence Allegro

    In the logic menu I have only 3 entries: - Identify DC nets... - Assign RefDes - Auto Rename Refdes... I do not see anything aboud differential pairs in the menus. Are there different versions of allegro (with/without support for differential signals)? Am I correct, that you mean that you...
  4. C

    Differential Signals in Cadence Allegro

    Hello, I try to route in Allegro Studio v15.1 some differential pairs. I found several information on the net but it seems that I do not find the correct menu entries. I guess that my version of Allegro is out of date. Can you tell me: - Is this version (v15.1) of Allegro able to handle...
  5. C

    Errors in generating netlist in Cadence Capture CIS -> Allegro, what to do?

    As you might have overseen: I myself found the link (mentioned in my first message) but was not able to find the problematic strings in the parameter editor. If you could tell me some more information where to look, it would be very useful. Espacially I do not know where the "component name" is...
  6. C

    Errors in generating netlist in Cadence Capture CIS -> Allegro, what to do?

    Errors in generating netlist in Cadence Capture CIS -> Allegro, what to do? Hello to everyone, I am new to the Cadence OrCAD Capture CIS and Allegro suite. Now I need to create a pcb with those tools. When I activate the generation of the netlist I get the following errors/warnings...

Part and Inventory Search

Back
Top