Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by chenghaibo

  1. C

    convert code from verilog to vhdl

    but quartus compiler doesn't support srl !! waiting for help.............
  2. C

    convert code from verilog to vhdl

    hi,everybody. i need the follow code to be writen in vhdl. //function:convert gray code to binary module gray2bin (gray, bin); parameter SIZE = 4; input [SIZE-1:0] gray; output [SIZE-1:0] bin; reg [SIZE-1:0] bin; integer i; always @(gray) for (i=0;i<SIZE;i=i+1) bin[i] = ^(gray >> i)...

Part and Inventory Search

Back
Top