Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by chat

  1. C

    saving the isim binary data in the wave form to a text file.

    hmm. please elaborate how test bench can be used to save the Output simulation binary data. Do i have to write it manually in a text file ?
  2. C

    Data Transfer To and Fro Digilent's Nexys-2 board

    can the same thing will work on atlys board ? if i change the ucf constraints accordingly ?
  3. C

    saving the isim binary data in the wave form to a text file.

    hello all, i am working on a design where the simulation data has to be saved as in a .TXT format for verifying it with another set of data , i have surfed many options but still not able to make out the correct way to it. an early response will be appreciated. thanks, gopal.
  4. C

    saving the isim binary data in the wave form to a text file.

    hello all, i am working on a design where the simulation data has to be saved as in a .TXT format for verifying it with another set of data , i have surfed many options but still not able to make out the correct way to it. an early response will be appreciated. thanks, gopal.
  5. C

    reading a binary file into a tesbench (vhdl)

    can u let me know what will be the modification..?
  6. C

    reading a binary file into a tesbench (vhdl)

    no , the file is being read from the main program, i wanted that the file should be rad from the test bench that i have created from the main program..
  7. C

    reading a binary file into a tesbench (vhdl)

    the main code is perfect .. i want the same thing to be done on test bench .. ---------- Post added at 14:37 ---------- Previous post was at 14:36 ---------- i.e extract the file contents and store in array format using test bench
  8. C

    reading a binary file into a tesbench (vhdl)

    now i want to take the same text file from the test bench and do the same..
  9. C

    reading a binary file into a tesbench (vhdl)

    its a text file.. ---------- Post added at 11:43 ---------- Previous post was at 11:42 ---------- it is a text file stored in notepad ---------- Post added at 11:45 ---------- Previous post was at 11:43 ---------- the main program is .. library ieee; use ieee.std_logic_1164.all; use...
  10. C

    reading a binary file into a tesbench (vhdl)

    hello ! i want to read my binary file 0110,0001,0011--- in a test bench ? ny help ?
  11. C

    working of the program..

    ya i agree with ur point that it is like a poem, but i am new to c and where i work i have develop the hardware according to the code which i gave to u and which was written by someone else earlier ...and without understanding it i cant develop it since i am a hardware designer.. if u could...
  12. C

    working of the program..

    well here is the whole code i thought it would be cumbersome that's y i displayed a part of i.. /* * splits a given sentence accourding to the splitting char * input: sentence, splitting char * output: list of sentences */ typedef struct ArrayList { char *word; struct ArrayList *next...
  13. C

    working of the program..

    yes............
  14. C

    working of the program..

    please let me know what is happening inside this piece of code... if(strlen(grab1)!=0) { if(split_list!=NULL) { split_list->next=(ArrayList*)malloc(sizeof(ArrayList)); split_list=split_list->next; } else { split_list=(ArrayList*)malloc(sizeof(ArrayList))...
  15. C

    reading characters from file.

    i have made the changes suggested by u.. ---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 10:51:53 07/15/2010 -- Design Name: -- Module Name: file_charctr - Behavioral -- Project Name: -- Target...

Part and Inventory Search

Back
Top