Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by charles_hoho

  1. C

    Synopsys DFT 2008.9 DFT insert_dft

    Hi, Thanks a lot!!!!!!!! It really works. so it is the problem that I set the wrong option to ths scan clock. I must set "existing" option to set_dft_signal to clock. :razz:
  2. C

    Synopsys DFT 2008.9 DFT insert_dft

    Hi, I this RTL verilog is very simple as below, module count( counter, rst_n, clk ); input clk; input rst_n; output [7:0] counter; reg [7:0] counter; always @(posedge clk or negedge rst_n) if (!rst_n) counter <= 0; else counter <= counter + 1; endmodule...
  3. C

    Synopsys DFT 2008.9 DFT insert_dft

    Hi Sir, I have done Signal Declaration as below: --------------------------------------------- set_dft_signal -view spec -type TestMode -port prog0 -active_state 1 set_dft_signal -view spec -type Reset -port reset_n -active_state 0 set_dft_signal -view spec -type ScanClock -port clk_i...
  4. C

    Synopsys DFT 2008.9 DFT insert_dft

    Hi friends, I got error when I run DFT 2008.9. The command "insert_dft" responds "Invalid test protocol". The old command "inser_scan" is supported. Run "set_dft_signal" responds "-type not found". What type should I set? As I know, the Test_Compiler "set_scan_signal" type is...

Part and Inventory Search

Back
Top