Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by chaitu2k

  1. C

    McClellan, Schafer and Yoder, DSP FIRST

    Hi, Could anyone upload the following book "McClellan, Schafer and Yoder, DSP FIRST: A Multimedia Approach, 2nd edition. "... or point me to a link where i can download it for free... Cheers
  2. C

    Xilinx FPGA Area Utilization

    area utilization xilinx Hi, what Aastik said is correct....and yes PnR tools does spread the logic a bit for timing but in your case the spreading does not seem to be more as LUT utilization is 95%....u could still add a liitle more logic if it does not affect your performance...then pnr will...
  3. C

    C/Verilog code for CRC calculation for polynomials

    Re: CRC calculation hi go to this website https://www.easics.com/webtools/crctool it is a crc tool which generates VHDL or VERILOG code for any given CRC polynomial and any databus width...its FREE....and its the best enjoy!!
  4. C

    how fpgas are used in mobile commu applications

    xilinx coolrunner II cplds are used in mobile phones...
  5. C

    Please help me with implementing a cam in a fpga

    Re: cam in fpga U cld do it using Dual port Block RAMS available in Xilinx FPGAS. it would take abt 6 such Dual port BRAMS to implement your CAM abt 200 - 300 slices and 3-4 clocks for the output result ..depending on your coding skills...refer to xilinx document on implementing CAM...XAPP260....
  6. C

    ASIC Code to FPGA Code Conversion

    try to identfy all multicycle and false paths in ur design....relax the constraints for these.....if possible also try using the xplorer perl script from xilinx....
  7. C

    How to make use of .edn files in Xilinx project?

    Re: Net List files hi yes edn files are generated by xoregen....if u want to simulate then coregen also generates .vhd and .v files which r present in ur working directory... u can include these files in ur modelsim project and simulate if xilinx coregen libraries are complied in...
  8. C

    Xilinx warning: HDLParsers:3498 - No primary, secondary unit in the file

    hdlparsers:3498 yes...i think osbourne is correct...since i sometimes used to get this error in 6.3i....but it gives a black box generating warning in 7.1i.....
  9. C

    MICROBLAZE : OPB-IP interface

    hi I need to connect at 72-bit wide block ram on a 32-bit wide data bus of microblaze...i think to achieve this in need to interface my bram with opb-ip i.e treat my Bram as an ip....but i still dont know how...as its the first time i'm going to implement microblaze...any ideas or docs are...
  10. C

    starting point on PCB design

    learn pcb design hi all i'm interested in learning PCB design...but its new 2 me...and i need a starting point...could some one give me reading material...or tips cheers
  11. C

    mostly used verification language in industries?

    could anyone tell whts best with modelsim....is tcl/tk the most supported by modelsim or whts better thnks
  12. C

    PYTHON for VERIFICATION

    ncsim scriptsim hi all i have heard that python is good for RTL verification ....I know it is easy to learn...but before i go ahead can some one tell me whether it can be interfaced with modelsim and what is the verification flow to be followed or anyone have documents abt this pls....also it...
  13. C

    Resources for beginners in FPGA

    Re: need help about FPGA here is a gud PDF... Programmable Logic Design Quick Start Hand Book --Second edition By Karen Parnell & Nick Mehta -- Xilinx I also found an article on intermediate fpga in this forum which is gud.....i suggest tht u go through the article uploaded by me first and...
  14. C

    VHDL to Verilog Problem !

    can anyone foward or suggest good verilog to vhdl conversion and vice versa software.... also are there any drawbacks in using the conversion softwares
  15. C

    What's the use of "&" operator in VHDL?

    bitwise or in vhdl & - concatination and - bitwise and operation any VHDL book will tell u this

Part and Inventory Search

Back
Top