Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by cesariv

  1. C

    Looking for a Noise Generator in Verilog

    digital uniform distribution noise generator Do you need gaussian noise or uniform noise. Do you need white noise or other type of spectral density. Matlab has a basic random function with uniform distribution, which is rand().
  2. C

    converting old xilinx foundation schematic to new xilinx ISE

    A few years ago I used to use Xilinx Foundation 2.1, I found in my backups an old project that can be useful for a new project. Could someone tell me, how can I read an old project or at least how to convert the schematic?
  3. C

    Avoid Building a Divider. HELP

    I think that you are using your clock (seconds) as a reference to generate pulses at a given rate per minute. So, to mantain the reference precision , you have to derive the output from it. One way is using the reference to clock a state machine.(divider). May be you could use some analog...
  4. C

    Avoid Building a Divider. HELP

    May be dividers are one of the simpler circuits. It can be implemented on a uC like a 68ch908QT4 , wich has 8 pins an has an internal oscilator.
  5. C

    Does FPGA designer need to be a "Floorplanning Master&q

    Does FPGA designer need to be a "Floorplanning Master&a I think, that if anyone wants to use the maximum, capabilities of a device, do floorplaning is a must. May be it can be compared with programming a DSP with C and to don´t know its assembler language.
  6. C

    CPLD input frequency upper limit

    why delay is related to frequency? the role of delay is only to postpone in time a signal without regarding its frequency, isn´t ?
  7. C

    CPLD input frequency upper limit

    Yes, but there are multiple specifications, what I want to know is, the relation beetwen input delays and max input frequency?
  8. C

    Simple Digital Power Supply

    If you already have 0-5V @40mA, you only need an amplifier to boost the output current. a new easy way could be using a power operational amplifier such as opa549. To implemet regulation, you need an ADC to feedback the actual output to the microcontroller.
  9. C

    CPLD input frequency upper limit

    Which factors determine the maximum input frequency, that I can apply to a CPLD. For a Xilinx CoolRunnerII, which is the maximum input frequency?
  10. C

    How to make a solid state relay working at 48V DC & 220V

    solid state relay If you want to use DC voltage with TRIACs. I suggest you to research for forced commutation. This circuit allows you to turn off your TRIAC anytime.
  11. C

    Suggest a scheme for Period measurement

    I think that none counter based scheme can reach a 1 ns resolution. Recently, I found a couple of papers which use FPGA to make a time to digital converter with a 200ps resolution, using tapped delay lines. Trans, On Instrumentation Vol46 No1 Feb1997.
  12. C

    How does Matlab handle timing ?

    Timing in Matlab? You need to define a frequency sample(Fs), Fs tells matlab, the time to reproduce a single sample. In accordance with your code t=1:0.5:10, you are using a Fs=1/0.5, so you must give to the sound command the same Fs. Plus, you have to fix the formula :-) good luck
  13. C

    VHDL source code required

    vhdl monostable this is extracted from a Xilinx example code. -- SWITCH DEBOUNCE -- process(osc_sw,reset, clk_scaler(14)) begin if (reset = '0') then debounce <= (others => '0'); elsif (clk_scaler(14)'event and clk_scaler(14) = '1') then if (osc_sw = '0') then debounce(0) <=...
  14. C

    Suggest a scheme for Period measurement

    do you want to measure time between rings or ringing time :?:
  15. C

    cheapest starterkit for fpga?

    I suggest you to find one with Spartan3 FPGA, because they are bigger and cheaper. In www.insight.memec.com you will find a good benefit/cost ratio boards.

Part and Inventory Search

Back
Top