Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by bluerockers

  1. B

    Modelsim Problem (adding reg's to wave window)

    When you run simulate option, then in the box that appears following the action, please disable optimization feature. Worked for me. I tried with Modelsim 6.4d and 6.4a Regards, don_kiss
  2. B

    Problem in Simulation using ncsim

    Hi! I got the following message:: ncelab: 05.50-p004: (c) Copyright 1995-2005 Cadence Design Systems, Inc. ncelab: *E,DLMKDF: Unable to add default DEFINE std /opt/tools/hw/ncverilog/5.5/tools/inca/files/STD. ncelab: *E,DLMKDF: Unable to add default DEFINE synopsys...
  3. B

    Problem in Simulation using ncsim

    I did ncelab after compiling the code. I got no errors. When I run ncsimg, then I get the error. Simulation doesn't work
  4. B

    Problem in Simulation using ncsim

    ncverilog ncsim ncelab ncsim Hi! I used nc to compile my code and then used ncsimg to sun the simulator. The following error comes:: *F, nosnap ...'work.abc_tb' doesn't exist in the libraries. where abc_tb is the test bench also, when I tried to compile a smaller code in the same location...

Part and Inventory Search

Back
Top