Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by bandekar.kunal

  1. B

    Failed to open file in read mode.(modelsim)

    Re: modelsim file read and write module test; reg [7:0] memory [0:7]; integer n; initial begin //Path of the file which needs to be opened and read. $readmemb("$NC_HOME/data.dat", memory); for (n=0; n<8; n=n+1) $display("%b", memory[n]); end endmodule

Part and Inventory Search

Back
Top