Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by ballinbyeda

  1. B

    Assigning Ports to plan groups in ICC

    Hi guys, I'm doing physical design using IC Compiler. i want the clock signal to enter a plan group on a certain spot. How can i specify that? Do i have to specify pins or ports to that plan groups. I can't really find a command for that :( Would be great if someone could help me out. Greets
  2. B

    Error msg : " ... doesn't specify a unique library "

    Hey guys, i just tried to read in a .ddc file that is the netlist of my synthesize top-level module which includes various other modules, named system.ddc. Both logical and physical libraries are set up properly, but as soon as i invoke "check_design", ic compiler throws the following error...
  3. B

    Question about error message of IC Compiler

    i assigned the absolut path to all libraries, that's not it, but thx for your reply. anyways...still don't know what to do :(
  4. B

    Question about error message of IC Compiler

    Hey guys, i just tried to read in a .ddc file that is the netlist of my synthesize top-level module which includes various other modules, named system.ddc. Both logical and physical libraries are set up properly, but as soon as i invoke "check_design", ic compiler throws the following error...

Part and Inventory Search

Back
Top