Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by asickrishna

  1. A

    How can I get GigE packet?

    Hi, can you please explain or share the c application that you have did for Nios MDIO interface ? i want to know how we can read and write and we need to set some address initially .. can you share those details i got some picee of code pls see alt_u32 t2=0...
  2. A

    At a time we can access how many slaves ?

    To shhrikant1 ---------- Post added at 13:24 ---------- Previous post was at 13:21 ---------- [/COLOR] Hi If you don't know AMBA Bus protocol please study well and tell ok .Don't confuse others .And this one edaboard is one Helthy discussion platform so if you should answer some thing...
  3. A

    At a time we can access how many slaves ?

    we can connect multiple masters and slave in multi layer both AMBA 3 ahb lite and axi but at a time we can access how many masters and slave in a system ?
  4. A

    Maximum number of AHB Slave/ Master

    Hi ckshivaram, "Amba AHB can eventually support a maximum of 16 co processors maximum.... Since 4 lines are used to communicate with external devices and other lines are dedicated to interact with the internal peripherals..... only for that purpose AMBA architecture was restricted to ARM7 and...
  5. A

    In AMBA AXI how arbitration is working?

    In AMBA AXI how arbitration is working?
  6. A

    why in amba AXI protocal burst can't cross 4k boundry

    why in amba AXI protocal burst can't cross 4k boundry
  7. A

    why in amba protocal burst can't cross 1k boundry

    If an AHB slave samples HSELx at the start of a burst transaction, it knows it will be selected for the duration of the burst. Also, a slave which is not selected at the start of a burst will know that it will not become selected until a new burst is started. 1 kilobyte is the...
  8. A

    Why is a burst not allowed to cross a 1 kilobyte bounda

    2. Why is a burst not allowed to cross a 1 kilobyte bounda
  9. A

    4-beat wrapping burst, transfer size of byte

    Hi, we want to perform Incrimental four byte transfer ie WR I4BY 40000015 12 13 14 15 40000015 this is addr data i want to write 12 13 14 15 addr :40000015 data:00000012 addr :40000016 data:00000013 addr :40000017 data:00000014 addr :40000018 data:00000015 but i am getting...

Part and Inventory Search

Back
Top