Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by andrepandi

  1. A

    Converting verilog RTL to verilog NETLIST

    Hi ljkong, Thx for the hints, I managed to create a verilog netlist with Synplify. It works alright, I could import it in the ISE. Thx. mc&fpga, I could get the ISE to interpret the netlist code easily.
  2. A

    Converting verilog RTL to verilog NETLIST

    edif to verilog Hi ljkong, you are right. I don't want to have a post par netlist, just a post-synt or post-translate. But I haven't found any option in ISE 7.1 to change the output netlist type. Could you give me any hints? Actually I don't really know in which phase does the XST apply the...
  3. A

    Register File using distributed RAM

    I would try the dual-port Distributed RAM in the Xilinx CoreGenerator. I would use one port for write and the other for read. Of course, some extra logic is needed to multiplex between the two read ports that you intend to use.
  4. A

    Error Burning Platform Flash with ISE 7.1

    xcf16p forum In my design there is a Xilinx Virtex II FPGA and a XCF16p platform flash in the JTAG chain. I can program the FPGA, but I can't burn the Flash with Impact 7.1. I have tried sp1, sp2, sp3 for 7.1, but the problem persists. Finally, I managed to program the Flash with 6.2sp3...
  5. A

    Converting verilog RTL to verilog NETLIST

    ise verilog netlist . Do you know any way to create a Verilog-based NETLIST from a Verilog RTL code? I want to use it for a Xilinx FPGA. Actually, I have seen in Xilinx ISE that the "post-place&route simulation model generation" creates something similar to what I intend to do. But it is...

Part and Inventory Search

Back
Top