Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by anand37

  1. A

    VHDL codes for the phase accumulator and the LUT required in NCO

    vhdl code for nco hey frank i understood your logic but i will be very thankful if u can elaborate or give a detailed program........
  2. A

    VHDL codes for the phase accumulator and the LUT required in NCO

    please can any one give me the vhdl codes for the phase accumulator and the LUT(look up table) required in a numerically controlled oscillator.
  3. A

    Problems with 8 bit DDS as it stops "half way"

    Re: DDS Help This is a direcr digital synthesis primer ,a technical overview by analog devices.
  4. A

    Problems with 8 bit DDS as it stops "half way"

    Re: DDS Help Hi this is another pdf file that deals about reconfigurable quadrature oscillator based on direct digital synthesis.This can give u some more insight about scaling the frequency and adjustment of the various quadrature parameters.
  5. A

    Problems with 8 bit DDS as it stops "half way"

    Re: DDS Help Hi hope this pdf file which deals with the complete technical details of DDS can help you with your queries.You can find all the relevant terchnical details.

Part and Inventory Search

Back
Top