Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by amvrao

  1. A

    Wait on clocking block input signals

    Thanks dave for input. this is new information that i knew we can use iff inside a @ event. The same code provides different output when run on questa simulator: (The original code i posted) # [ 15]:: After waiting for cb.data # [ 25]:: After waiting for cb
  2. A

    Wait on clocking block input signals

    We are using clocking blocks in systemverilog. we are seeing some weird behaviour when we are waiting on clocking block input signal We wait for the input of clocking block signal to change to '1', then wait for one clock and then read some signal. But when we wait for one clocking block, one...

Part and Inventory Search

Back
Top