Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by amarj

  1. A

    verilog code for phase detector

    hey actually i require digital phase detector verilog code..i dont need any of the analog part in it such as vco and others...so pls help me out...
  2. A

    verilog code for phase detector

    i didnt get wat u said..which attachment and where to see it... ---------- Post added at 11:20 ---------- Previous post was at 10:58 ---------- is ther any site for tat..
  3. A

    verilog code for 16 bit counter

    ya...with synchronous reset and synchronous hold.. ---------- Post added at 09:13 ---------- Previous post was at 08:48 ---------- module(clk,hold,reset,q); input clk,hold,reset; output [15:0]q; reg [15:0]q; initial q=15'b0000000000000000; always @(posedge clk) begin if (reset)...
  4. A

    verilog code for 16 bit counter

    i need verilog code for 16 bit synchronous counter with synchronous hold..pls help me out..
  5. A

    verilog code for phase detector

    i need a verilog code for phase detector to detect a positive edge of two clocks i.e one fast clock signal and one slow clock signal, simultaneously and produce output as just a single pulse..i need it soon..pls help out.

Part and Inventory Search

Back
Top