Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by alieeldin

  1. A

    Looking for the EPON Benchmark

    Could some one help me to fine benchmark of EPON ? Thanks
  2. A

    what is the issue with this code? Need help in synthesis

    1- dont use bit_vector since you will make a calculation 2- in 30 line you wrote to get the length of val and you didnt desided the length of it. 3- try to write it by RTL it is better.
  3. A

    How to start a paper on FPGA?

    i want to make paper about FPGA but i dont know from where i can begin so please help me -- alie eldin
  4. A

    Where to find Linux SuSe and tutorial for it?

    please i want site to download the linux suse (free) and please i want tutorial to help me to use it . the linux suse used in RTOS?? thanks -- alie eldin
  5. A

    Looking for VHDL-AMS tutorials

    hi please i want to know the using of VHDL-ASM and any tutorial to learn it (short) , this anlguage can implemented on FPGA?? thanks:D
  6. A

    LEON 3 SPARC V8 Processor IP Core

    i am biggener in this processor (leon sparc) please i want any help -- thanks alie eldin
  7. A

    Encoder and decoder of CRC in VHDL

    please i want encoder and decoder of CRC by VHDL
  8. A

    huffman encoder and decoder

    please i want code for huffman encoder and decoder by matlab thanks
  9. A

    VHDL code for connecting ADC to FPGA

    adc in fpga hi for example if you work the FPGA or CPLD on 50Mhz you will make clock divider to get the 40Mhz which will operate the ADC and then make process with sensetivity list on (input clock and the input data from ADC) this process will operate when the rising edge of clk or the...
  10. A

    VHDL code for connecting ADC to FPGA

    adc vhdl you will make only block to read the word from ADC and then make your decision if you want this block send for me -- alie eldin
  11. A

    VHDL & verilog & systemC

    please i want to know the main difference between VHDL & verilog & systemC in points -- alieeldin
  12. A

    Error in program of the VGA in Verilog

    horizontal_counter(3) this is program of the VGA but to work please tell me the logical error ----------------------------------------------------------------------------- module ff (red_out,clk50,green_out,blue_out,hs_out,vs_out); input clk50 ; output red_out ; output...
  13. A

    Verilog VGA program for Spartan 3 starter kit

    i have spartan 3 starter kit of FPGA please send for me verilog VGA program to write only one character and please by comments and the clock input -- alie eldin
  14. A

    Looking for code to write letters from ps/2 to the vga

    Re: ps/2 with vga send for me very simple tutorial about EDK
  15. A

    Looking for code to write letters from ps/2 to the vga

    ps/2 with vga please i want code to write letters from keyboard (ps2) to the vga note i use spartan3 fpga thanks -- alie eldin

Part and Inventory Search

Back
Top