Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by ajchintz

  1. A

    8 bit adc interface to a spartan 3 E

    i need to interface my pt 100 temperature sensor to a spartan 3e ....so i need to know which adc do i use for a 8 bit input... also plz give me the verilog code to drive this adc...i was thinkin i could 0808 adc is it fine if i use it... plz do give me the verilog code for it...
  2. A

    need help regarding interfacing ADC 0808 with XILINX spartan 3E FPGA

    how do we divide the clock frequency ?? it would be better if you give me a link with the verilog code in it.... plz do help as soon as possible.... its urgent.

Part and Inventory Search

Back
Top