Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by aiza11

  1. A

    How to add bits of a std logic vector and result should be std logic vector

    They state that it is a Misspelled variable, signal or procedure name?. Do I have to declare any signal?

Part and Inventory Search

Back
Top