Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by ahmedomar_2000

  1. A

    how to make a lookup table in vhdl

    i need to use this code ,i post just a small part , it is a very long values , so i need a help in how to use these values which appear below in my code in a lookup table ( ram or rom ), if (outputt<=x"0e00" and outputt>=x"0d7a")then output1<=x"0012"...
  2. A

    matrix inverse verilog

    ok ,thanks for your advice
  3. A

    matrix inverse verilog

    mrflibble ,i didn't understand your sentence , what do you mean ? i am not so good in english
  4. A

    matrix inverse in vhdl

    first ,thanks a lot for all who reply me. aruipksni, thank you for the program , i didn't try it yet, but i will . just i want to ask you , which method used in this program ,is it a gaussian elimination ? in fact i have to do the matrix inverse in many ways using VHDL , and then compare...
  5. A

    matrix inverse in vhdl

    hello plz , if anyone can help me to write a program that calculates the inverse of a square matrix(4*4) in vhdl

Part and Inventory Search

Back
Top