Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by adefan

  1. A

    How about the IC design jobs in Australia?

    australien ic design Freescale was closed a year ago. No chance for ASIC and IC designs.
  2. A

    Behavioral modelling for analog designs

    VHDL-AMS or Verilog-A
  3. A

    WINAVR "No rule to make target"

    winavr no rule to make target Check your make file to see if you set the target and rule properly.
  4. A

    Implementing an Embedded Multiplier Using VHDL

    Re: Xilinx multipliers Yes, you can use * to infer multiplier. If one multiplicant is a constant, some tools infer combinatorial logic.
  5. A

    How to use Perl script with TI CodeComposer Studio

    I want to know how to use Perl script with TI CodeComposer Studio to automate the debugging and testing proccess. How to set break point, stop and resume program? many thanks

Part and Inventory Search

Back
Top