Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by Abi88

  1. A

    URGENT: help delay for this codes

    hi all, can anyone please help me to delay the time? the input reads too fast. library ieee; use ieee.std_logic_1164.all; entity test1 is port( clk:in std_logic; input:in std_logic; output:out std_logic_vector(6 downto 0)); end entity test1; architecture behavior of test1 is...
  2. A

    Help me write test codes for shift register

    shift register thanks for helping..may i know how do i connect when i wan to download to UP2 board for testing?both the inputs and outputs... may i know wat is cnt8 and temp for?wat is one_b_fs,Fclk and Tclk? can simply explain how the thing works?i found some error during the "signal...
  3. A

    Help me write test codes for shift register

    hi all...i am trying on codes for shift register...does anyone can help me to write a test codes so that i can try them out? pls guide too where to input n output the pins on UP2 board using CPLD..thanks! library ieee; use ieee.std_logic_1164.all; entity shift_register4 is port(reset: in...
  4. A

    Urgent help with VHDL codes

    pretty thanks for u all!! really appreciate for helping..!!^.^ now i am trying in some codes but faced some problem during compilation..does any of u willing to help me?
  5. A

    Urgent help with VHDL codes

    it is transmit? i thought should write a code on receiving?because i am taking data in from RS232... thanks!
  6. A

    Urgent help with VHDL codes

    hello all, i am newbie here and i am currently working on an assignment. for this assignment, i need to take in 10 bytes of data serially from RD232 into FPGA using VHDL codes and then display out on the 7-segment using MAX chip.besides, they can stored in SRAM. i got no idea at all on how to...

Part and Inventory Search

Back
Top