Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by abhisheknayak95

  1. A

    Random question on Verilog

    module scoreboard(clk1,clk2,set1,set2,m1,m2); input clk1,clk2; output reg [1:0]set1,set2; output reg m1,m2; reg [3:0]q1,q2; reg [2:0]p; reg [0:1]z; parameter s0=0,s1=1,s2=2,s3=3,s4=4; initial begin p=s0;set1=0;set2=0;m1=0;m2=0;q1=0;q2=0;z=0; end always@ (posedge clk1 or posedge clk2) begin...
  2. A

    Random question on Verilog

    it's not that i haven't tried. My tutor is not available now, so I am seeking help of you guys. If you don't want to help, then please don't!
  3. A

    Random question on Verilog

    I am new to VERILOG. I want to have a look at this answer before proceeding further. Please help me with this. Thank you.
  4. A

    Random question on Verilog

    Electronic Scoreboard : (VERILOG problem) An Electronic scoreboard is used to display information of a game like points earned by a team, set points and winner. There are two teams in this game. Rules of the game are as follows : 1. A team has to score minimum 12 points and last two...

Part and Inventory Search

Back
Top