Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by A.N.

  1. A

    VHDL wrapper for a newbie

    Thanks, that seems to have worked. I was sure I had tried that, I guess I just mis-typed or something... Thanks again, Andy
  2. A

    VHDL wrapper for a newbie

    Hi everyone, I'm new to trying to hand-crank VHDL and I'm having a wee bit of a problem. I usually use auto-generated code so I'm not too used to writing it myself. The problem that I'm having is that the code is being generated with one-bit signals being defined as std_logic type but the...

Part and Inventory Search

Back
Top