Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by _MW_

  1. M

    VHDL: Default Record for generic type

    That's exactly what I want to do. :-) And it looks like I can use some of your code for my case. But unfortunately I compare data packets with a length of up to 1500 bytes. So I do not want to output the whole data, but only the number of the first erroneous byte and the stored values in actual...
  2. M

    VHDL: Default Record for generic type

    The actual code looks like this: package GenericPkg is generic ( type complex_data_type; function Match( A, B : complex_data_type ) return boolean; function Print( obj : complex_data_type ) return string; ); procedure Check( A, B : in complex_data_type ); end GenericPkg...
  3. M

    VHDL: Default Record for generic type

    I'm aware of that. I may have expressed myself a little unclearly. The passed record is generic but always contains a fixed attribute and optionally others. This fixed attribute must be accessed in the GenericPkg.The GenericPkg is also passed a function that returns the record data type...
  4. M

    VHDL: Default Record for generic type

    Hi, I am currently trying to implement the VHDL-2008 construct Generic Package. For this I have a generic type, which I want to initalize with a default record. Does anyone know if an if so how this is possible? I would like the colored part of the code to be similar even though it obviously...

Part and Inventory Search

Back
Top