Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.
i need to store the values in text file. Is it not possible directly from the waveform itself ?
since all values are in sfixed format, it will be very difficult....
the test bench is as follows:
--------------------------------------------------------------------------------
LIBRARY ieee;
LIBRARY std;
USE ieee.std_logic_1164.ALL;
USE ieee.std_logic_arith.ALL;
USE ieee.numeric_std.ALL;
USE std.textio.ALL;
USE work.edge_package.ALL;
-- Uncomment the...
LIBRARY IEEE;
USE ieee.std_logic_1164.all;
--USE ieee.std_logic_arith.all;
USE ieee.numeric_std.all;
library ieee_proposed;
use ieee_proposed.fixed_pkg.all;
ENTITY dwt IS
PORT
(
clk : IN STD_LOGIC;
vid_in: IN STD_LOGIC_VECTOR(7 DOWNTO 0); -- Pixels from main memory...
Multiplication using sfixed numbers in vhdl
I am trying to multiply an unsigned number with sfixed number (0.703125). following are the code and errors:
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library ieee_proposed;
use ieee_proposed.fixed_pkg.all;
USE ieee.numeric_std.all;
entity...
how can i multiply an unsigned number with a sfixed number (for eg 0.703125) ??
should i convert the unsigned into sfixed type and carry on with multiplication ?
i want the result of the multiplication back in unsigned format..
thanks in advance
i have used the following libaries
LIBRARY IEEE;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_arith.all;
USE ieee.numeric_std.all;
still the error in modelsim shows :
** Error: G:/vhdl code/vhdl code/dwt_main.vhd(30): (vcom-1078) Identifier "UNSIGNED" is not directly visible...
This site uses cookies to help personalise content, tailor your experience and to keep you logged in if you register.
By continuing to use this site, you are consenting to our use of cookies.