Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by 12345r

  1. 1

    VHDL Testbench getting (U) in simulation waveform result

    I've added the Cin value in the testbench but still getting the same issue with U in the whole waveforms simulation. How can I correct the code to get normal unsigned values in the waveform? I'm also confused regarding using the STD_LOGIC and unsigned data type? is this related to the issue I'm...
  2. 1

    VHDL Testbench getting (U) in simulation waveform result

    Hi, I'm trying to simulate 2bit full adder but getting U(undefined) in the waveform result. Can you help me with that please? 1bit full adder entity full_adder is Port ( A : in STD_LOGIC; B : in STD_LOGIC; Cin : in STD_LOGIC; S : out STD_LOGIC; Cout : out...

Part and Inventory Search

Back
Top