Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

top module to internal module!

Status
Not open for further replies.

romikot

Newbie level 6
Joined
Jun 16, 2012
Messages
11
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,383
HI
I wrote a vhdl memmory controller for my external cellram( all working fine)
Now i want to use this controller in a larger design and want to put this controller under another module !
Basicly i can do it with a regular inputs and outputs with a regular mapping,
from one componnet to another or directly to the outputs(although its a lot of work)!
But what do i do with an inout???
Inout can be used only in a top module!!
???
thanks
 

Convert top file into verilog That is the easiest way to solve.
 

the two methods:
1.) just pass the inout port down through the hierarchy. new tools can pick this up unless partitions are used.
2.) create three ports -- port_o, port_i, port_t, then add the port_i <= port; port <='Z' when port_t = '1' else port_o; lines to the code.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top