Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

simulate floating point cores in xilinx ise 9.21

Status
Not open for further replies.

vgs

Junior Member level 1
Joined
Aug 22, 2011
Messages
19
Helped
1
Reputation
2
Reaction score
1
Trophy points
1,283
Activity points
1,432
Can anyone please help me to simulate the floating point cores in xilinx ise 9.2i using modelsim pe 10.c
please reply
thanks in advance
 

Initially the problem was that the modelsim couldn't give any results.now i'm getting some results.but i'm confused with the floating point arithmetic.can you help me in that?how to convert integer numbers to floating point numbers(32 bit single)
 

you will have to use an integer to float IP block if you want to synthesize it.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top