megha26
Newbie level 3
We are trying to write a pckg to use in the code of complex multiplication.
Its showing error for this part of the package.
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.fixed_float_types.all;
package fixed_generic_pkg is
generic (fixed_round_style : fixed_round_style_type := fixed_round;
fixed_overflow_style : fixed_overflow_style_type := fixed_saturate;
fixed_guard_bits : natural := 3;
no_warning : boolean := false
);
......
......
The error is ' parse error, unexpected generic'. If we can't use generic inside a package then whay may be the alternative for this?? please help us out....
thanks in advce..
Its showing error for this part of the package.
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.fixed_float_types.all;
package fixed_generic_pkg is
generic (fixed_round_style : fixed_round_style_type := fixed_round;
fixed_overflow_style : fixed_overflow_style_type := fixed_saturate;
fixed_guard_bits : natural := 3;
no_warning : boolean := false
);
......
......
The error is ' parse error, unexpected generic'. If we can't use generic inside a package then whay may be the alternative for this?? please help us out....
thanks in advce..