Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

[SOLVED] **ERROR: (IMPCCOPT-4082): No timing clocks found therefore cannot extract clock trees. An SDC file should be loaded.

Status
Not open for further replies.

bongosontan

Newbie
Joined
Mar 8, 2024
Messages
4
Helped
0
Reputation
0
Reaction score
0
Trophy points
1
Activity points
31
Hi everyone,

I am trying to implement ccopt_design in Innovus (version 22.1) and facing a cople of errors which I am not understanding enough what is the error about. The error are:
1. **ERROR: (IMPCCOPT-4082): No timing clocks found therefore cannot extract clock trees. An SDC file should be loaded.
2. **ERROR: (IMPCCOPT-2004): Cannot run 'update_clock_tree_spec_annotations -include_ideal_net_status' as no clock trees are defined.
I am generating the sdc file from Genus and used "create_clock_tree_spec -views { AV_TYP_hold } -keep_all_sdc_clocks" to create the clock tree. Anybody has any idea about how to resolve this, it would be very helpful.

Thanks in advance!
 

Solution
then your design has something really basic about it that is broken. clock is misnamed, data signal treated as clock, something of that nature...
without more details, it is hard to know what went wrong
step 1 - make sure your SDC from genus is correctly loaded and accepted by innovus
step 2 - run a basic flow with place_opt followed by ccopt. the basic innovus flow creates a clock tree spec for you, you do not need to call create_clock_tree_spec at all
 

step 1 - make sure your SDC from genus is correctly loaded and accepted by innovus
step 2 - run a basic flow with place_opt followed by ccopt. the basic innovus flow creates a clock tree spec for you, you do not need to call create_clock_tree_spec at all
Hi,

Thank you for answering.
I double checked and the sdc file is correctly read by Innovus. And replacing "create_clock_tree_spec" with place_opt also does not work. ccopt_design is facing the same errors.
 

then your design has something really basic about it that is broken. clock is misnamed, data signal treated as clock, something of that nature...
without more details, it is hard to know what went wrong
 
Solution
Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top