Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

common constant in files of a project

Status
Not open for further replies.
A

ahmadagha23

Guest
Hi
I have many constants in my VHDL code, which are used in some source code
files in my activhdl project. how can I define these common constant in a seprated file(pakage) in my project and use them in other files? Please describe the method for me.
Regards
 

It is simple, you have already answered to your question.

Create a package file, put all your constants in it, then add the following lines in your code

library work;
use work.mypackage.all

in all your vhdl files right after the IEEE library lines.

Best regards,
/Farhad Abdolian
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top