Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

A request must be followed by a grant (in the next cycle)

Status
Not open for further replies.

ash72

Newbie level 5
Joined
Feb 10, 2020
Messages
9
Helped
0
Reputation
0
Reaction score
0
Trophy points
1
Activity points
88
The spec "A request must be followed by a grant (in the next cycle)".

I was given a solution for this spec using VHDL assert, as follows:

prev_req <= req when rising_edge(clk);

assert_grant_follows_request : process(clk, reset)
begin
if rising_edge(clk) and reset = '0' then
assert prev_req = '0' or grant = '1';
end if;
end process;

My question is : What's the need for the signal prev_req? Why can't we just have "assert req = '0' or grant = '1'"? Why are we creating prev_req to be used in the assertion? Has this something to do with delta delay?

Thanks.
 

Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top