Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

A grant must at some time have been preceded by a request

Status
Not open for further replies.

ash72

Newbie level 5
Joined
Feb 10, 2020
Messages
9
Helped
0
Reputation
0
Reaction score
0
Trophy points
1
Activity points
88
The spec : "A grant must at some time have been preceded by a request".
In order to write an assertion for this spec, I was given a solution in VHDL, as follows:

past_req <= req or past_req when rising_edge(clk);

assert_grant_follows_request : process(clk, reset)
begin
if rising_edge(clk) and reset = '0' then
assert grant = '0' or past_req = '1';
end if;
end process;

My question is what's the need for the signal "past_req"? Why is the assertion checking for grant in relation to past_req? Why not
assert grant = '0' or req = '1'? Has this something to do with delta delay?

Thanks.
 

Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top