Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Spartan 3E - Calculator Project

Status
Not open for further replies.

pezevall

Newbie level 4
Joined
Jun 6, 2007
Messages
6
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,329
Hello folks! I'm working on an undergraduate project in order to perform a calculator (add, substraction, multiplier, divider) using Spartan 3E FPGA board. The input data will be entered via ps/2 keyboard and the output/results on a VGA monitor. Have you done this before? I was looking for some ideas on what would be the best way to perform this. Perhaps simulating a CPU with an ALU could do the job, but not sure. Never tried this before.

Any pointers/guidance will be very appreciated

Thanks!
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top