Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Looking for Verilog code for communicating via serial interface RS-232

Status
Not open for further replies.

ayo213

Newbie level 5
Joined
Feb 7, 2007
Messages
9
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,355
My FPGA is having serial interface Rs-232. I have to use a hyperterminal and send a character to FPGA and FPGA will send same character to hyperterminal. I just need a source code of verilog for that. Can anyone help me abt that.
 

Re: serial iterface

Can you tell us about the UART side. Where your UART will take the data from to send it to hyper terminal? I mean one side your UART will be communicating with the PC through serial port . what about side of UART??

Thanks,
 

Re: serial iterface

the data is coming in through the connector pins on the fpga board.. am connecting a gps module to the fpga board through those pins
 

Re: serial iterface

Here you'll find a reference design from Xilinx to implement a UART in Verilog.
Although it's written for a CPLD it's perhaps useful to you:
**broken link removed**
 

Re: serial iterface

i av tried the code frm xilinx n its not working, is there n e other code available?
 

Re: serial iterface

Hi,
If you are using a GPS module to receive GPS data, the out put of such modules is generally through a built in uart of the module. so you will need to design two uarts. One UART will receive data serially from the GPS module and the other one will send this data to the PC hyper terminal. The two UARTs will communicate with each other via a fifo inside the FPGA. I hope you got the idea and will help you in implementing the design.
Good Luck!!
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top