Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Logic-BIST's test coverage ?

Status
Not open for further replies.

joe2moon

Full Member level 5
Joined
Apr 19, 2002
Messages
280
Helped
19
Reputation
38
Reaction score
7
Trophy points
1,298
Location
MOON
Activity points
3,717
ANY one ever uses the Logic-BIST tool ?

How about the quality ?
For example, the test coverage and the hardware overhead.
------------------------------------------------------------------

Mentor Graphics: LBISTArchitect
Syntest: TurboBIST-Logic
LogicVision: Logic BIST
Synopsys: SoCBIST
 

joe2moon said:
ANY one ever uses the Logic-BIST tool ?

How about the quality ?
For example, the test coverage and the hardware overhead.
------------------------------------------------------------------

Mentor Graphics: LBISTArchitect
Syntest: TurboBIST-Logic
LogicVision: Logic BIST
Synopsys: SoCBIST

you can try mentor LBIST tool.
 

Logic-BIST tools...

Sorry, I do not intend to get/try/benchmark logic-BIST tools.
--------------------------------------------------------------------
I'm just interesting about the level of current technology >>>
in the area of logic-BIST !

If any one has the experience, please share it.
---------------------------------------------------------------------
For example,
which kind of core ?
the size of the block ?
the BIST controller gate count ?
the length of test cycle ?
*** Most imporant of all *** the test coverage ???
:
:
---------------------------------------------------------------------

Thanks in advance :)
 

As I know until now no company have a stable logic-bist tool. The FC is about 85%.
 

justinzhang said:
As I know until now no company have a stable logic-bist tool. The FC is about 85%.

LogicVision has developed a new Logic Bist tool & analysis tool, which
they claimed can replace the old and hard-debugging scan DFT. they also
claimed the freq of test clk can be as high as working freq.
 

BIST applies random vectors to your design so its generally about 85% or so. Some vendors add flip-flops to your design to increase test coverage. They look at the untested faults left in your design and add the flip-flops where they provide the most coverage. The problem is that you can add a lot of FFs to your design.

The tests can be applied at a high frequency. However unless you can shift your scan chain at that frequency your tests will not run significantly faster.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top