Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

NC-Verilog post-simulation problem

Status
Not open for further replies.

sevid

Member level 2
Joined
May 20, 2006
Messages
53
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,286
Activity points
1,711
cuvmur

Dear all :

when i simulate my codes with NC-Verilog after synthesizing them with DC,some reference errors appear,the errors is list as follow:

ncelab: *E,CUVMUR: instance of module/UDP 'fdesf1a3' is unresolved
in 'worklib.ar:module'.
ncelab: *E,CUVMUR: instance of module/UDP 'clk1a3' is unresolved
in 'worklib.ar:module'.
......
ncelab: *W,CUVWSP (.\simplecpu.v,221|26): 1 port was not connected:
CO
ncelab: *E,CUVMUR: instance of module/UDP 'clk1b6' is unresolved
in 'worklib.dr:module'.
ncelab: *F,MAXERR: maximum error count reached (15).

and in my top file, i have used the system task $sdf_annotate as:
initial
begin
$sdf_annotate("design.sdf",mydesign, ,"design.log");
end

Thanks in advance!
 

ncelab: *e,cuvmur

Hi!!
Try
nchelp ncelab CUVMUR
 

*e,cuvmur

check if you include your library path
 

cuvwsp

I think the major reason is the library.
 

ncelab: *e,cuvmur: instance

check your library link
 

ncelab cuvmur

Thanks for all of you.
But this is my first post-sim with NC-Verilog, pls tell me how to link or include my library.
Thanks in advance!

sevid
 

ncelab: *e,cuvmur:

if you do the post simulation
you need include your library file in your gate netlist or use a file to list the library file, testbench file and gate netlist. The library file includes all the gate discreption that you refer in your netlist
for example
`include "mylib.v"
module xxx
.....
endmodule
or a list file whose content is like the following
mylib.v
tb_xxx.v
xxx.v
 

e,cuvmur

To xuanzhu :

but my library file is a **.db file when i synthesize my design with DC.
you mean that i should switch it to a **.v file,yes or no?but how?

thanks a lot.
sevid

Added after 1 hours 47 minutes:


INCLUDE /path/to/ur/library/file //added in my cds.lib
when i specify my **.db in this way,i get the same error.
it seems like i must translate my **.db into **.lib,or cds.lib?but how?

I really appreciate ur help .
sevid
 

include library in verilog

In my opinion, you can get *.v file from the lib dir. for simulation.
 

ncelab: *w,cuvwsp

to sevid
this verilog library file is provided by your vender, such as tsmc, smic, or umc, etc. It is neither written by you, nor converted by your library db file
 

ncverilog nowarn

To all :
What i am doing now is just an experiment,and i only have a **.db library file.
But from someone,i have heard that you can get ur library for post-sim with the help of "library analyzer" which is embedded in VSS,or with the "library compiler" which is embedded in DC.
but i don't know how to get it ,help!

Thanks a lot.
sevid
 

ncelab verilog module search order

We can only convert our .lib library files to .db,.hdl,.edif library files with the help of library compiler.
So i cann't translate my .db library file to .v file with it.
Thanks to all of you.
The end of this topic.

sevid
 

ncelab udp

I think that you should be add below option
ncelab -access rwc -nowarn CUVWSP
 

xxx sevid xxx

Please include library udp in your simulator file list
Sumit
 

nc verilog library compiler

And what about the scope in the sdf file.

Do you know what should be this field ?
What does it means?

Thxs

A beginner ....
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top