Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Fixed point to Integer conversion in VHDL?

Status
Not open for further replies.

kaushiksangam

Newbie level 6
Joined
Apr 9, 2006
Messages
14
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,387
vhdl sfixed conversions

Hi all,

I have to write a program that involves lot of multiplication with numbers from the range -1 to +1.
I think, I would have to convert these fixed point numbers to integers and then multiply and then convert back the result to its deimal value.

If I have to convert a fixed point number to integer representation in VHDL, how do I do it?
 

vhdl integer to fixed point

you can use conv_integer function.you can these fns by going to button looking like :idea: in xilinx
 

conversion real integer vhdl

since, I would have to multiply real numbers from the range -1 to +1. I would have to represent these numbers to integer numbers (representation) and multiply them because, I would be using VirtexII pro for the implementaion, which has only 18bit*18bit integer multiplier with it.

Do suggest me some ideas, if you have any.

thanks.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top