Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

I can't view the debussy schematic viewer

Status
Not open for further replies.

leongch

Member level 2
Joined
Dec 22, 2005
Messages
44
Helped
1
Reputation
2
Reaction score
0
Trophy points
1,286
Activity points
1,692
cannot see files in debussy

HI,
I can't view the schematic at debussy schematic viewer. I run the compilation and everything is fine including the simulation waveform. Just that I can't view the shematic of the top level module as i required. Is it because of the verilog testbenches problems? What might be possible problem?

begin
$fsdbDumpfile("top.fsdb");
$fsdbDumpvars(0,top);
$fsdbDumpon;
if ($test$plusargs("dump_core_only"))
$fsdbDumpvars(2,top.transcieverB_chip);
else
$fsdbDumpvars(0,top.transcieverB_chip);
end

else if ($test$plusargs("dumpvcd"))
begin
$display("Dumping VCD file ...");
$dumpfile("top.vcd");
$dumpvars(0,top);
end
 

debussy viewer

There is no link between simulation and schematic viewer in debussy. Once you have compiled the design and loaded the design in debussy, you must be able to view the complete design in nTrace as well as the schematic.

Please check the error once more after loading the design in Debussy.
 

how to view schematic in debussy

1. Do you have complete Debussy license? or just nWave license?
2. Please check if you specify topModule, source files and library.

To invoke Debussy by command :
debussy [<options>] [<source files>]

Some options :
- vhdl | verilog : specify language type for import design
from source (verilog by default)
- top <topModule> : specify top module for import design
- lib <libName> : specify library name
- f <fileName> : specify a file which list all source files
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top