Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Why do we do setup and hold analysis before/after CTS?

Status
Not open for further replies.

p.sivakumar

Member level 1
Joined
Dec 29, 2005
Messages
35
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,286
Activity points
1,617
setup and hold analysis

Hi

Why we are doing setup violations before CTS ? and why we are doing hold violations after CTS ?

Thanks
Sivakumar
 

Re: setup and hold analysis

hi,
you know what CTS does.. it inserts clock buffers and forms a clock tree such that clock skew from source to all flipflops are same..

If you design is not meeting setup time constraints then additional buffers leads to more complicated violations and similarly for hold violations.. if we have setup violations then this delay in clock path can also correct that violations..

Regards
Shankar
 

Re: setup and hold analysis

since set time violations are w.r.t max propogation delay and hold time violations are w.r.t min propogation delay , when buffers are inserted based on setup violations in CTS, it will mostly(not always) try to reduce hold violations too. but still there are quite a few exceptions cases where , there could be hold violation even after CTS, thus we deal them seperately after CTS.
 

Re: setup and hold analysis

because of incorrect statistical WLM at synthesis level so proper hold time info is not accurate for STA engine of synthesis tool.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top