Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

How to measure "small signal Bandwidth" in differe

Status
Not open for further replies.

020170

Full Member level 4
Joined
Jan 31, 2005
Messages
231
Helped
3
Reputation
6
Reaction score
1
Trophy points
1,298
Activity points
2,221
I want to know how to measure fully differential amp's spec,

I used LMH6550, National semiconductor macro spice model.

I thought I can know How to measure Fully differential opamp' spec if I compare simulation result with LMH6550 datasheet after simulating LMH6550 macro model.

In the LMH6550 datasheet, there is some spec like "small signal -3dB bandwidth", 400MHz

But I got the result only "344MHz" in Hspice simulation.

what do I wrong?


netlist and the result is following

**************************************************

Vdd Vdd gnd dc 5V
Vss Vss gnd dc -5V

X1 in- VCM Vdd out+ out- vss en in+ LMH6550


Rs VIn1 1 50
Rt 1 gnd 50
Rg1 1 in+ 365
Rf1 in+ out- 365
RL out- out+ 500
Rm gnd 2 25
Rg2 2 in- 365
Rf2 in- out+ 365

vin1 vin1 gnd ac 1

Ven en gnd dc 5
Vcm Vcm gnd dc 0

.op
.ac dec 10 10 11000G

*.dc vin1 -5 5 0.1
.print vm(out+) vm(out-)
*.tran 1n 10u
.end
 

Is the load in the datasheet the same as your simulation? Different load will have different small -signal bandwidth.
 

    020170

    Points: 2
    Helpful Answer Positive Rating
Re: How to measure "small signal Bandwidth" in dif

of course.

test condition was referd in the datasheet is following.

single ended in differential out, Av = +1 Vs = +- 5V Vcm = 0V Rf = Rg = 365 RL = 500

but CL is not refered, I did not include it in the simulation circuit

thanks for taking an active interest
 

Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top