Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

What tools are needed for full ASIC flow?

Status
Not open for further replies.

p_shinde

Full Member level 5
Joined
Feb 25, 2006
Messages
292
Helped
6
Reputation
12
Reaction score
2
Trophy points
1,298
Location
tokyo
Activity points
3,455
hi.

if i am rgt SOC Encounter is for RTl-- GDSII, so does it covers full ASIC flow???

no other tool required if we go for SOC???

indsutry ppl reply soon.

thanks in advance

Prasad
 

Re: Encounter

To make into a full ASIC flow you need to use sign-off tools like PrimeTime for post

layout STA sign-off, Caliber for DRC,LVS sign-off and some power analysis tools like

voltage storm etc. You can use Encounter only for Implementation
 

Encounter

SOC ENCOUNTER can covers full ASIC flow.
 

Re: Encounter

Yes Encounter covers the full flow, as said you may need caliber for sign-off DRC. Also you may need additional licences of CeltIC for signal integrity.
 

Re: Encounter

so .. it still need third-party or assura for its physical verification.

BRs
 

Re: Encounter

You need PT for timing sign-off
 

Re: Encounter

so which type of companies or for which type of ICs uses Encounter??

as i guess every company has different tools for an ASIC flow, so want to know where is Encounter is used ?


Prasad
 

Re: Encounter

Encounter is used in the Industry for Physical implementation of chips. Lately lot of

companies or using the tool. Its a good tool
 

Re: Encounter

SOC Encounter has covered whole RTL-to-GDSII implementation flow.
----------------------------------------------------------------------------------
Majorly ...

1) First Encounter(FE) has been widely used in Prototype/Floorplan/Power Plan tasks even before it has been acquired by Cadence.

2) RTL Compiler(RC) has been adopted to do RTL-to-gate level logic synthesis recently. (It used to be Get2Chip's product before merged by Cadence.)
------------------------------------------------------------------------------------
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top