Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

nc-verilog to modelsim

Status
Not open for further replies.

peen1

Member level 2
Joined
Nov 2, 2004
Messages
47
Helped
1
Reputation
2
Reaction score
0
Trophy points
1,286
Activity points
495
ncelab+modelsim

I have the following command in ncsim

ncelab work.tb_top -NEVERWARN -loadvpi ip_32W_gen:pLI_register -loadvpi ip_32W_check:pLI_register -snapshot work:snap -timescale 1ns/1ps -message -access +rw -logfile ../log/ncelab.log

What would be the equivilant command in modelsim

Thanks
 

verilog with modelsim

hi peen1
you can use modelsim to do a test project step by step and record all the tcl command in the main window. After you finish this project, you can import your record to a tcl file, and do batch process, just like what you used in ncverilog
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top