Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

How to dump fsdb waveform in vhdl using ncsim of cadence

Status
Not open for further replies.
fsdb cadence

please use debussy pli to dump fsdb!!
 

cadence fsdb

As in PC, you must set the enviroment according to debussy/ncsim user mannul, then let ncsim can compile the fsdb dump command.

In PC, I must modify the .ini file to let simulation tool load the .dll (debussy). In unix , you read the manual.
 

cadence view fsdb

wwfhm2002 is right, you must use debussy DLL(windows) or so(in unix)。 When run ncsim, you must dynamically load the debussy PLI interface, so that you can call $fsdbDumpfile, $fsdbDumpvars functions.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top