Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

[help] low power design by EDA tools

Status
Not open for further replies.

elddie

Newbie level 6
Joined
Jul 14, 2002
Messages
13
Helped
1
Reputation
2
Reaction score
1
Trophy points
1,283
Location
Taiwan
Activity points
22
hello,
many EDA tools support low power design
anyone can tell me what kind of low power techniques supportted by the EDA vendor (cadence, synopsys, MAGMA...)?
i know the synthesis tools have switch active reduction, clock gating, operand isolation & multi-Vth optimization, and any else?
the APR tools can handle voltage island (level shift), and any else?
thanks
best regards
 

Another technology called clock mesh , but i don't know which APR tools support it. clock have a huge drive , and use clock mesh can reduce skew and power .
 

I remember IBM has design Powerpc based on SOI, but i do't know how many fountry has this technology.
 

Hi Eldie,

I went through a tool from Golden gate Technology.It seems it will compliment the existing flows for low power.It takes the design during P&R , does a push button low power optimization and give back to P&R tools for further processing.Company claims nearly 25 % power reduction!!
 

on CDN live, it is announced that cadence soce 5.2 can implete clock mesh automaticly.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top