Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

a problem about ModelSim , It is expressed by a picture.

Status
Not open for further replies.

ymq8328

Member level 3
Joined
May 26, 2005
Messages
57
Helped
1
Reputation
2
Reaction score
1
Trophy points
1,288
Activity points
1,847
a problem about ModelSim , It is expressed by a picture.
 

When you are simulating your module using TestBenches, these 'M's come into picture in Process Window. They tell you the different Levels at which you can perform the simulations. These icons can be directly instantiated when the TestBench is selected in Source window.
"Simulate Behavioral VHDL Model" will just simulate the VHDL model without synthesis.
"Simulate Post-Fit VHDL Model" will do the synthesis and Fitting of CPLD. Double clicking on that Icon in "process" window 'll run synthesis as well as it 'll Fit the design and 'll generate Post-Fit simulation Model and 'll try to simulate it using ur TestBench (if everything is fine).
All just in One Double Click.
 

Hi Sparc


The "Simulate Post-Fit VHDL Model" 's result always right ? whether soft Simultor self will be wrong ? ModelSim shall was be trust ?

OR that 's means I can't implement my design on device if "Simulate Post-Fit VHDL Model" 's is not same as my "Simulate Behavioral VHDL Model" result , I can't implement my design on device !


thank you
 

Yes, if you fail the post-fit model simulation, you cannot implement your design on C/SPLDs and FPGAs.

Although we can't 100% trust EDA CAD tools, but it is very unlikely that the post-fit model doesn't correspond to the behavioural model which you have described.

Hardly anyone fails in the post-fit model sim. Most people fail the behavioural.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top