Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

hardware testing using xilinx spartan 3 board

Status
Not open for further replies.

syedahmar

Member level 1
Joined
May 26, 2005
Messages
35
Helped
2
Reputation
4
Reaction score
0
Trophy points
1,286
Activity points
1,663
hello everybody!!!
i am working on a project and i have been assigned a task and i need some help in that.
Actually i have designed a module in which there are 4 32-bit 1K desth FIFO's. Everything is working fine and i am even able to program it using the JTAG but now my employees want me to test it athardware level. I told my engineer that there are very high number of pins like 32 data input pins,some write enable pins etc.
The engineer said that you can write a code which assigns all the test data and writes it to FPGA during the programming phase and then the output can be checked. Now how can i assign values at memory locations in code. And any suggestions asto how can i check the whole module at hardware level using the available spartan 3 boards?????
i really really need help :(

Added after 3 minutes:

and the board i have is SPartan-3 Starter Board produced by digilent
 

I have used the IP core generator to generate a single ffio and then i used the VERILOG template.....

Added after 5 hours 41 minutes:

OK let me rephrase my question in clearer words.....
I want to test my design and i have a spartan 3 starter board (digilent)......In my module, i want to direct certain input pins to the switches and some output pins to the LEDs on the board...... i know its something to do with adding a constraint file but i want to know if there is any tutorial or any suggestion as to how to do this.....
Help plz....:)
 

okay, I think now I understand it.

U can find a short tutorial here:
**broken link removed**

Maybe u can also perform a P&R simulation for the first test.

For a complete test of your FIFOs in HW I would use the UART.

More constraining infomation:
**broken link removed**
 

syedahmar said:
In my module, i want to direct certain input pins to the switches and some output pins to the LEDs on the board...... how to do this.....
Help plz....:)

Hiu syed,
there will be a datasheet specific to the development kit that u use.
so in that, they will specify the pin connections which leads to the LED/Switches.
so make a note of those pins, and lock it using a PACE editor or write a UCF for pinlocking. then u can drive signals to the FPGA and redirect it 2 the LEDs
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top