Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Verilog, how do I program FPGA to take input from a usb mouse?

Status
Not open for further replies.

kenjoleya

Newbie level 1
Joined
Mar 25, 2017
Messages
1
Helped
0
Reputation
0
Reaction score
0
Trophy points
1
Activity points
10
Hi, I am an EE uni student and have been currently working with Verilog on the Basys3 for the past few months. I would like to program the FPGA to be able to take input from my USB mouse i.e. clicking on the left/right mouse buttons to trigger output such as LED or changing reg values.

So far, I only know that constraints have to be set to the C17 and B17 pins as PS2Clk and PS2Data. But I am very confused as to how to use these to link to my mouse buttons. Help would be much appreciated!

-Eugene
 

You apparently want to use the mouse in PS2 mode. Read about PS2 protocol and how mouse data is coded therein. Many internet resources available.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top