Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Comm (SPI & Ethernet modules)

Status
Not open for further replies.

subahan

Newbie level 3
Joined
Feb 17, 2012
Messages
4
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,303
Hi,
I need to send data from FPGA to PC through SPI using WIZ812MJ Ethernet module, so any has ISE or Vivado code. kindly support for the same.
 

Wrong forum, this forum isn't for getting code and support for the code, that is usually something that the vendors do by having FAEs. If you have a question about some specific problems you are having then ask away. If you have code for this project that you don't understand be specific and ask questions about those issues. Show us you are making an effort and are not just a forum leach.

Otherwise use Google and type in what you are looking for and you'll probably get a number of results that have code.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top