Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

correct the tool flow from my frontend asic perspective

Status
Not open for further replies.

hcu

Advanced Member level 4
Joined
Feb 28, 2017
Messages
101
Helped
0
Reputation
0
Reaction score
0
Trophy points
16
Activity points
874
synthesis :I done synthesis on a design and got a positive slack which is good ,
sta : and done sta on the design netlist ,it reported some violations . now i repeatedly modified sdc file and finally able to remove all violations that are reported initially.

so what should i do now, should i load these modified constraints again back to synthesis tool and get a new netlist. or simply should i give old netlist and new modified sdc file to the next stage of asic. we dont do PD we outsource it.
 

I would do synthesis again. The netlist will probably be slightly different with the new constraints (assuming they were minor changes), but these new constraints might be more relaxed and now save some power or they might be more strict and change some buffered paths. All sorts of changes can happen I guess.
 

I am not sure which constraints you are referring to.

It depends on which constraints you added/modified.

If more pessimistic constraints were used during synthesis and if they were relaxed in Tempus, the results are bounded.

If more optimistic constraints were used during synthesis, then a new netlist matching the SDC should be obtained.

It is the constraints that will help determine which option to exercise.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top