Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

[SOLVED] How to export and view waveform after UVM ?

Status
Not open for further replies.

slutarius

Full Member level 5
Joined
Oct 30, 2015
Messages
248
Helped
37
Reputation
74
Reaction score
36
Trophy points
28
Activity points
1,540
I have just tried to run some example of UVM on ModelSim.
They could run successfully.

Now, I want to export some waveforms and open them on ModelSim.
But I dont see any waveform file outputted. What I should do ?

running scripts is like below:
--------------
vlib work

vlog ./uvm-1.2/src/uvm.sv +incdir+./uvm-1.2/src
vlog ./ex1/testbench.sv +incdir+./uvm-1.2/src

vsim work.top -uvmcontrol=all
run -all
--------------
 

You didn't add any add wave commands so there won't be a waveform window created.
 

UVM is a methodology for creating fancy testbenchs, it has nothing to do with waveforms or lack thereof.
 

You didn't add any add wave commands so there won't be a waveform window created.
I can see vsim.wlf outputted in normal simulation. I wonder there is a command needed in UVM.

- - - Updated - - -

UVM is a methodology for creating fancy testbenchs, it has nothing to do with waveforms or lack thereof.
I believe engineer need to check waveform to make sure the UVM work correctly or not.
But anyway, are you sure that we can not create waveform in UVM run ?
 

Waveform viewing of a design in independent of the testbench used to simulate the design. If you want to view the class-based UVM data, you need to look at the User Manual sections on SystemVerilog Class Debugging and UVM-aware debugging. You might need Questa to do this.
 
I could make wlf out adding the "add wave" after vsim. The reason is that Modelsim can not save a wlf file if you don't add a signal to waveform pane. There is another way using wlfdumpvars(), but have not tried. Thanks guys.
 

I can see vsim.wlf outputted in normal simulation. I wonder there is a command needed in UVM.

- - - Updated - - -


I believe engineer need to check waveform to make sure the UVM work correctly or not.
But anyway, are you sure that we can not create waveform in UVM run ?

that is not what I said. see reply from dave_59.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top